Sistema de controle de temperatura usando FPGA

Registro completo de metadados
MetadadosDescriçãoIdioma
Autor(es): dc.contributorBarros, André Macário-
Autor(es): dc.creatorSouza, Alexssandro Braz de-
Autor(es): dc.creatorMartinello, Deise-
Data de aceite: dc.date.accessioned2022-02-21T21:50:37Z-
Data de disponibilização: dc.date.available2022-02-21T21:50:37Z-
Data de envio: dc.date.issued2020-11-17-
Data de envio: dc.date.issued2020-11-17-
Data de envio: dc.date.issued2013-03-20-
Fonte completa do material: dc.identifierhttp://repositorio.utfpr.edu.br/jspui/handle/1/14882-
Fonte: dc.identifier.urihttp://educapes.capes.gov.br/handle/capes/661824-
Descrição: dc.descriptionA temperature controller aims to maintain a constant temperature, within a predetermined range of values. Following these definitions, a digital circuit has been implemented in VHDL that allows the user to interact with FPGAs using discrete components. The tool used is the ISE 12.4, Xilinx, which is a set of programs which operate in an integrated way so that can implement digital systems with a kit of reconfigurable logic. The reconfigurable computing is based on reprogrammable logic devices that can achieve high performance and at the same time providing the flexibility of describing systems at gate level. The system consists of a circuit with discrete components, which has the function of receiving the sensor voltage, interacting with the synthesized VHDL code to perform the control of the temperature.-
Descrição: dc.descriptionUm controlador de temperatura tem por finalidade manter uma temperatura constante, dentro de um intervalo predeterminado de valores. Seguindo estas definições, foi implementado um circuito digital em VHDL que permite o usuário interagir através de FPGAs com componentes discretos. A ferramenta utilizada é o ISE 12.4, da Xilinx, onde consta um conjunto de programas que operam de forma integrada para que se possa implementar sistemas digitais com um kit de lógica reconfigurável. A computação reconfigurável baseia-se em dispositivos lógicos reprogramáveis que podem atingir um desempenho elevado e, ao mesmo tempo, fornecer a flexibilidade da programação a nível de portas lógicas. O sistema constitui de um circuito com componentes discretos, do qual tem como função receber a leitura do sensor, interagindo com o código VHDL sintetizado para realizar o controle de temperatura.-
Formato: dc.formatapplication/pdf-
Idioma: dc.languagept_BR-
Publicador: dc.publisherUniversidade Tecnológica Federal do Paraná-
Publicador: dc.publisherPato Branco-
Palavras-chave: dc.subjectControle de temperatura-
Palavras-chave: dc.subjectControladores programáveis-
Palavras-chave: dc.subjectSistemas de controle inteligente-
Palavras-chave: dc.subjectTemperature control-
Palavras-chave: dc.subjectProgrammable controllers-
Palavras-chave: dc.subjectIntelligent control systems-
Palavras-chave: dc.subjectTecnologia em Automação Industrial-
Título: dc.titleSistema de controle de temperatura usando FPGA-
Tipo de arquivo: dc.typelivro digital-
Aparece nas coleções:Repositorio Institucional da UTFPR - RIUT

Não existem arquivos associados a este item.